Hyderabad Jobs |
Banglore Jobs |
Chennai Jobs |
Delhi Jobs |
Ahmedabad Jobs |
Mumbai Jobs |
Pune Jobs |
Vijayawada Jobs |
Gurgaon Jobs |
Noida Jobs |
Hyderabad Jobs |
Banglore Jobs |
Chennai Jobs |
Delhi Jobs |
Ahmedabad Jobs |
Mumbai Jobs |
Pune Jobs |
Vijayawada Jobs |
Gurgaon Jobs |
Noida Jobs |
Oil & Gas Jobs |
Banking Jobs |
Construction Jobs |
Top Management Jobs |
IT - Software Jobs |
Medical Healthcare Jobs |
Purchase / Logistics Jobs |
Sales |
Ajax Jobs |
Designing Jobs |
ASP .NET Jobs |
Java Jobs |
MySQL Jobs |
Sap hr Jobs |
Software Testing Jobs |
Html Jobs |
Come create the technology that helps the world act together Nokia is committed to innovation and technology leadership across mobile, fixed and cloud networks. Your career here will have a po...
open text livelinkcustomer focustime managementmanagement skillsservice operationtechnology leadershipservice supportwansetscanicelinuxcloudcolormobiledemandoffersstorageglobal rd
Description:
The role : As part of our ongoing expansion, EnSilica India need to strengthen our verification team. We are looking for bright candidates who have an enthusiasm and aptitude for working in this vit...
verificationuvmdesignfailure analysiscreative problem solvingkey metricscode coverageproblem solvingpersonal skillsmanagementpresentation skillsinterpersonal skillsarmbusmal methodsseni
We are looking for bright candidates who have an enthusiasm and aptitude for working in this vital part of our business.
The ideal candidate will have a strong academic record and 5-10 yea...
floor planningdrcroutingverificationintegrated development environmentsquality management systemrc extractiontiming closurephysical designproblem solvingpersonal skillsdesign compilerteam leadershippower managementmanagement systemqualitYou will have a good understanding of the key concepts behind advanced verification with experience of writing SystemVerilog and using UVM. You will be comfortable with constrained random verification...
fectestsuvmquestavcspcsfibre channelsenior managementkey metricsformal methodspersonal skillspresentation skillscode coveragecreative problem solvinginterpersonal skillsproblem solvingPhysical Design Expert - SOC/ IP/ Sub-System Design Qualification: BE / ME / B. Tech / M. Tech in EEE/ ECE/ EI/ CS Experience: 8 to 15 years No of Position: 20+ (Including 2 Leads / 2 Experts) Lo...
timingmagmaclosureroutingertmscadencedesignsoctiming closureoral communicationsignal integrityphysical designpower managementhandson technicalknowledge sharingQualification: BE / ME / B. Tech / M. Tech in EEE/ ECE/ EI/ CS Experience: 4 to 15 Years No of Position: 6+ Location: Bangalore Requirements: Good understanding of VHDL or System Verilog. Synth...
physical designrtl developmentdftrtllecdrcclpupfvhdlbistlintprimedesigncheckscadencerunningsynthesisprimetimeEquivalence Checkingconfmal lecQualification: BE / ME / B. Tech / M. Tech in EEE/ ECE/ EI/ CS Experience: 4 to 15 Years No of Position: 20+ (Including 2 Leads / 2 Experts) Location: Bangalore Preference: Candidate from semicon...
rtl designboundary scandftsocrtldfxatpgjtagscanverdidesignverilogspyglassboundaryRTL CodingNCSimAMBA AHBTiming Closuresystem integratsemiconductLint / CDC / Synthesis Qualification: BE / ME / B. Tech / M. Tech in EEE/ ECE/ EI/ CS Experience: 4 to 8 years No of Position: 4+ Location: Bangalore Requirements: Synthesis Constraints developm...
staecocdclinttimingchecksclosuresynthesisTiming ClosurePrimetimeClock Tree SynthesisLogic SynthesisPhysical DesignTimingPhysical SynthesisRTL CodingECRMCOsEOLPlaceRoute
Responsibilities
Principal Systems Engineer - Cyber Security Apply Now Save Job This is where you save and sustain lives At Baxter, we are deeply connected by our mission. No matter your role...
environmental impact assessmentmusic makingcyber securitydesign patternsproduct securityintrusion detectionpharmacy automationapplication securityparenteral nutritionauthentication protocolsSr Engineer - Embedded Device Software Apply Now Save Job This is where you save and sustain lives At Baxter, we are deeply connected by our mission. No matter your role at B...
software development life cycleembedded software developmentenvironmental impact assessmentpeer reviewsclient serversoftware designprofiling toolssoftware qualityequipment supplyembedded softwareCyber Security V&V Sr. Engineer This section focuses on the main purpose of the job in one to four sentences. Baxter has long been on the forefront of developing innovative solut...
data loss preventionwhite boxapplication security testingcloud securityopen sourcecyber securityit securitytest driven developmentfips 1402
Role: DevOps - Architect level Experience: 10+Years Location: Chennai Key Skills: - Hands-on experience in #AWS, Docker, Kubernetes - Hands-on experience in #Jenkins, Ansible, Linux admin Requirements...
perllinuxproofdevopsdockeransibledatabasesenterprisemaintenanceObject Oriented PerlDBITemplate ToolkitBioPerlSynopsys PrimetimeUniversal Verification MethodologyCshMooseBashApacheTCL* Title: Sr Engineer Physical Design About GLOBALFOUNDRIES GLOBALFOUNDRIES is a leading full-service semiconductor foundry providing a unique combination of design, deve...
continuous improvement facilitationic designrtl designrtl codingfield testchip designdesign flowmemory testmixed signalpeople skillstest coverageROLE & RESPONSIBILITIES
In this position, the individual will be responsible for providing technical leadership in the defining full chip Memory BIST and DFT methodology. Responsibilities will include complete ownership of f...
ppapproduct developmentapqpinspectiondocumentationasic designdft compilercomputer sciencecommunication skillstechnical leadershipThe candidate will be involved in the following activities: System Level Modeling for Architecture exploration, Performance exploration, SoC performance analysis, tradeoffs...
modeling toolsdata structuresmodel developmentcommercial modelsinternational conferencestlmsocstlbusocpeslmmuoopscachedesigncarbonsystemcanalysisperfmance analysisThe candidate will be involved in any of the following activities: Virtual Prototype development for SoC and Electronics Systems for the purpose of embedded software develop...
embedded software developmentembedded linuxdevice driversdata structuresequipment supplyproject executionassembly languageembedded softwarecommercial modelsquality processessoftware developmentprogrammingCome join Intels Client Engineering Group responsible for designing Client SoCs that make up more than half of Intels annual revenue We envision the future of computing and...
drawingautocaddraftingmodelingcadclock tree synthesisstatic timing analysiscontinuous improvement facilitationchip designbusiness unitsphysical design
Job ID: JR*******
Job Category: Engineering
Primary Location: Bangalore, KA IN Other Locations:
Job Type: Experienced Hire Product Development Engineer
Job Description Responsibilities
Job ID: JR******* Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire SD (Physical Design) EngineerJob Description power flowpower supplytiming closurephysical designsupply managementbehavioral trainingparasitic extractionphysical verificationwritten communication
Job TitleFrontend Developer Job Description We re looking for a Cloud Application Developer (Frontend) to join our Office and Industry Frontend team in Bangalore internet of thingsfront endqt creatorsmart homesbuild toolsweb servicesvisual studiorisk analysisquality toolssecurity riskweb solutionsdata analyticstest automation
We are looking for Structural Design Engineers with strong RTL2GDSii Skill. Job responsibilities include Logic Synthesis, Floorplanning, Place and Route, Timing Analysis, Convergence, IR/EM analysis, ...
staad probuildingssiterccfoundationdesign flowtiming analysislogic synthesisstructural designbehavioral trainingformal verificationartificial intelligence
Job Role: Working on 7nm and 5nm designs with various customers for deployment of Aprisa place and route tools. Expertise in solving custorners problems for critical designs to achieve desired perfor...
javajavascriptlinuxcsshtmltiming closurephysical designtechnical supportcommunication skillswritten communicationvlsidesignplacerouteWhat you ll achieve As a Release Manager with the Embedded Controller team, you will be responsible for coordinating and producing all the releases for our Sustaining and New Product platforms....
javalinuxjavascriptframeworkembedded software developmentrelease notesdevelopment workembedded softwareagile methodologiessoftware developmentgitjirastepagiledevopsbambootesting* Global Foundries (GF) Bangalore is seeking a highly skilled and motivated semiconductor development engineer for an internship position . The student will work at GF, Bangalore on
Synthesis & STA engineers will perform RTL Synthesis to achieve the best Performance/Power/Area of the designs, DFT insertions that include MBIST and SCAN, setup Timing Constraints for functional ...
static timing analysisrtl designsystem verilogtiming closureasic synthesissynopsys toolspower analysistiming analysiscomputer sciencesandftrtlstaecoupfperlscanasic© 2019 Hireejobs All Rights Reserved