Hyderabad Jobs |
Banglore Jobs |
Chennai Jobs |
Delhi Jobs |
Ahmedabad Jobs |
Mumbai Jobs |
Pune Jobs |
Vijayawada Jobs |
Gurgaon Jobs |
Noida Jobs |
Hyderabad Jobs |
Banglore Jobs |
Chennai Jobs |
Delhi Jobs |
Ahmedabad Jobs |
Mumbai Jobs |
Pune Jobs |
Vijayawada Jobs |
Gurgaon Jobs |
Noida Jobs |
Oil & Gas Jobs |
Banking Jobs |
Construction Jobs |
Top Management Jobs |
IT - Software Jobs |
Medical Healthcare Jobs |
Purchase / Logistics Jobs |
Sales |
Ajax Jobs |
Designing Jobs |
ASP .NET Jobs |
Java Jobs |
MySQL Jobs |
Sap hr Jobs |
Software Testing Jobs |
Html Jobs |
NXP SemiconductorsN.V. (NASDAQ: NXPI) enables secure connections for a smarter world, advancing solutions that make lives easier, better, and safer. As the world leader in secure connectivity s...
verificationuvmdesignfailure analysisroot causecode coveragefailure modescache coherencyconsensus buildingquality engineeringformal verificationcommunication skillsverbal communicationdesign verification engineer in chennai o Strong SV/UVM fundamentals o Experience of building Testbenches from scratch. o Assertions driven verifi...
verificationuvmdesignfailure analysisdesign verificationcpupythonverilogassertionsAMBA AHBSpecmanOpen Verification MethodologyNCSimUniversal Verification MethodologyAssertion Based VerificationWork Location : Greater Noida The position is an exciting opportunity to be part of a flexible and dynamic team in the growing markets of mixed signal chips with signal processing IPs, ARM processor ...
universal verification methodologymixed signalarm processorsystem verilogproblem solvinghardware designsoc verificationsignal processingworking experiencearmsocuvmbusvhdltclipsJob ID: JR0174375 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire Pre-silicon Validation/Verification EngineerJob Desc...
verificationuvmdesignfailure analysissystem verilogproblem solvingcomputer sciencework effectivelysystem simulationformal verificationcommunication skillsrtlddrbusovmipsAt Cadence, we hire and develop leaders and innovators who want to make an impact on the world of technology. *ASIC/Processor Design Verification position *Own all aspects of ...
lightingestimation3d modellingahuauditingdesign verificationuvmperldesignsiliconscriptingemulationfundamentalsAMBA AHBSpecmanOpen Verification MethodologyNCSimUniversal Verification MethodologycadenceTechnical Lead/Manager - ASIC Design Exp : 8-15 yrs Location : Bangalore Job Profile The successful candidate will be involved in hands-on implementation of complex networking AS...
pciedigital designserial protocolssignal designrtl designrtlsynthesisasic designtiming closureambaertmsasicipsamba protocolsThe candidate will be involved in the following activities: System Level Modeling for Architecture exploration, Performance exploration, SoC performance analysis, tradeoffs analysis SystemC model deve...
modeling toolsdata structuresmodel developmentcommercial modelsinternational conferencestlmsocstlbusocpeslmmuoopscachedesigncarbonsystemcanalysisperfmance analysisWork Location : Greater Noida The position is an exciting opportunity to be part of a flexible and dynamic team in the growing markets of mixed signal chips with signal processing IPs, ARM processor ...
verificationuvmdesignfailure analysismixed signalarm processorsystem verilogproblem solvinghardware designsignal processingarmsocbusvhdlambatclipsTechnical Lead/Senior RTL Design Engineer who will be responsible for design and development of Automotive SoC products and complex digital IP s , Design of SoC covering microarchitecture definitio...
front endrtl designdigital designsilicon validationcommunication protocolsarmdftgdssocrtlbuscdcupflintambagraspdesigntimingfrontend developmentWork Location : Greater Noida The position is an exciting opportunity to be part of a flexible and dynamic team in the growing markets of mixed signal chips with signal processing IPs, ARM processor ...
verificationuvmdesignfailure analysisuniversal verification methodologymixed signalarm processorsystem verilogproblem solvinghardware designsignal processingIntroduction. The position is an exciting opportunity to be part of a flexible and dynamic team in the growing markets of mixed signal chips with signal processing IPs, ARM processor...
adobe photoshoparm processormixed signaladobe dreamweaverhardware designhtml 5memory testsignal processingadobe illustratordigital designpower analysisscan insertionclock tree synthesiscss3physical designTechnical Lead/Senior RTL Design Engineer who will be responsible for design and development of Automotive SoC products and complex digital IP s , Design of SoC covering microarchitecture definitio...
front endrtl designdigital designsilicon validationcommunication protocolsdftgdssocrtlbuscdcupflintambagraspdesigntimingfrontend developmentTitle Verification Engineer (AMBA AXI) 4-10yrs Exp Bangalore and Hyderabad Apply Now Categories Embedded Jobs Salary Industry Standards Total Yrs Of Experience Required 4-10yrs Job Location Bangalore,...
verificationuvmdesignfailure analysisserial protocolssocvipi2caxidfxgpiojtagambasalaryclosuresecurityintelDescription Mentor has been instrumental in creating innovative, high performance and debug friendly verification solutions for very large scale SoCs. This is enabled by a talented team of leaders an...
javalinuxenvironmentsystem verilogequipment supplyfoundation designelectrical engineeringproject administrationciscostatements of work sowmentor graphicsDescription Mentor has been instrumental in creating innovative, high performance and debug friendly verification solutions for very large scale SoCs. This is enabled by a talented team of leaders an...
javalinuxenvironmentsystem verilogequipment supplyfoundation designelectrical engineeringproject administrationciscostatements of work sowmentor graphicsNXP Semiconductors N.V. (NASDAQ: NXPI) enables secure connections and infrastructure for a smarter world, advancing solutions that make lives easier, better, and safer. As the world leader in s...
verificationuvmdesignfailure analysiscache coherencydsparmsociotusbaceaxilinnxpcpfperlpciemipiconnectivity solutionsNXP Semiconductors N.V. (NASDAQ: NXPI) enables secure connections and infrastructure for a smarter world, advancing solutions that make lives easier, better, and safer. As the world leader in s...
javaframeworksoc verificationsystem verilogcache coherencyjavascriptproject administrationiso 26262linuxformal verificationroot causeconnectivity solutionsNXP Semiconductors N.V. (NASDAQ: NXPI) enables secure connections and infrastructure for a smarter world, advancing solutions that make lives easier, better, and safer. As the world leader in s...
verificationuvmdesignfailure analysisroot causesystem verilogcache coherencyformal verificationproject administrationconnectivity solutionsWell versed with RTL Design Synthesis and STA Should have worked in 10nm or below nodes Expert in Cadence Backend tool suit, eg. Genus Strong in scripting skills using TCL or perl <...
siteinspectiontroubleshootingrtl designrtlstaperldesignbackendscriptingsynthesisRTL CodingNCSimAMBA AHBTiming ClosurePrimetimetclcadenceThe IPG IP Engineering Group is looking for energetic and passionate Pre-Silicon Validation Engineers to verify high speed, state of the art DDRPHY designs that support DDR and LPDDR protocols. Job ro...
verificationuvmdesignfailure analysissystem verilogproblem solvingcomputer sciencework effectivelybehavioral trainingIn this position, the candidate will be responsible for design of soft IP cores for Intels next generation chips (including SOCs) for the different market segments. Qualifications Qu...
drawingautocaddraftingmodelingcadfront endlogic designsystem verilogtiming closurertl developmentcost optimizationJob Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire Senior Verification EngineerJob Description Responsibilities ...
uvmaixarmbillingfront endsystem verilogrtl developmentcomponent developmentelectrical engineeringoptimization strategiesWhat you do at AMD changes everything At AMD, we push the boundaries of what is possible. We believe in changing the world for the better by driving innovation in high-performance co...
technical compliancechanging the worldrtl designautocadcode coveragesocambadraftingfront endelectrical engineeringsoftware designrtldrawingcadmodelinglintcdcfrontend designDigital FPGA design concepts-L3 (Mandatory) FPGA design - Xilinx Device Architecture-L2 8+yrs of exp in FPGA Design good exp in FPGA complex architecture design, implementation, PnR, bit map generat...
rtl codingfpga designlogic designarchitectural designrtlmappnrfpgadesignverilogarchitectureimplementationAMBA AHBxilinxNXP SemiconductorsN.V. (NASDAQ: NXPI) enables secure connections for a smarter world, advancing solutions that make lives easier, better, and safer. As the world leader in secure connectivity s...
front end designfront endquality adherencesilicon validationfunctional requirementsiotusbaxilinitunxpsramcacheertmsdesignmobileclocksconnectivity solutionsJob Title : SoC Verification - Leads Job ID : 2140268161 Posted on : 05/05/2021 Designation : SoC Verification Experience...
asic designarm processorcode coveragesystem verilogdigital designsoc verificationanalytical skillsshell programmingdesign verificationcomputer architectureRoles & Responsibilities: Basic Understanding of the Security Domain. Ability to adapt with different tools & technologies which will be utilized for providing support. Monitoring security e...
sieminformation securitynetworkingcustomer relationsidssocitilemailregulatorymonitoringTiming ClosureStatic Timing AnalysisRTL DesignPrimetimeProcessorsAMBA AHBPhysical DesignLowpower DesignThe candidate will be involved in the following activities: System Level Modeling for Architecture exploration, Performance exploration, SoC performance analysis, tradeoffs analysis SystemC model deve...
modeling toolsdata structuresmodel developmentcommercial modelsinternational conferencestlmsocstlbusocpeslmmuoopscachedesigncarbonsystemcanalysisperfmance analysis
Must have experience in developing Verification environment from scratch
Must be good in Verilog coding
Must have Simulators experience using vcs/ncsim/modelsim
Knowled...
verificationuvmdesignfailure analysisverilog codingvcsovmvmmverilogscratchmodelsimOpen Verification MethodologyAssertion Based VerificationAPBAssertionsVMMAXIAMBA AHB
Should be expert in Verilog Coding
Must have done Synthesis
Must have worked on Scan insertion
Should be familiar with Spyglass CDC/RDC
Should be able to mic...
scan insertionverilog codingrtlscanverilogspyglasssynthesisspecificationsFastscanDFT CompilerEquivalence CheckingTetramaxBoundary ScanGate Level SimulationAutomatic Test Pattern GenerationConformal LECBISTRTL CodingNCSimAMBA AHB
Shoud have at least 2+ years experience in SOC Verification
Should be expert in C/Assembly
Must have hands on experience in Verilog and System Verilog
Should be self mo...
verificationuvmdesignfailure analysissystem verilogsoc verificationcommunication skillssocverilogcommunicationTiming ClosureStatic Timing AnalysisRTL DesignPrimetimeProcessorsAMBA AHBPhysical DesignFunctional VerificationLowpower DesiTitle SOC Verification Engineer 4+yrs Bangalore and Hyderabad Salary As per Industry standards Apply Now Categories Embedded Jobs Salary As per Industry Standards Total Yrs Of Experience Required 4+yr...
verificationuvmdesignfailure analysissoc verificationRTL DesignPrimetimeProcessorsAMBA AHBPhysical DesignFunctional VerificationBonusLowpower DesignWhat you do at AMD changes everything At AMD, we push the boundaries of what is possible. We believe in changing the world for the better by driving innovation in high-performance co...
management skillsdesign verificationcadtechnical managementmodelingcode coverageproblem solvingsystem verilogdrawingchanging the worldautocaddraftingtime managementcommunication skillsJob ID: JR0157464 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire Testchip ArchitectJob Description Define ha...
mixed signalflow controlanalog circuitssystem architecturecommunication skillsarchitectural designhardware architectureTestchip Architect
Responsibilities include:
Roles and Responsibilities Hello,Hope you are doing Well!! JOB DESCRIPTION:: Do Coverage Closure Own and debug failures in simulation to root-cause problems Co-work with Design...
ambadebuggingfeatureslanguagesoopsfront endtest casesproblem solvingmodel developmentformal verificationelectronics engineeringsocuvmaxiahbperlstatements of work sowRoles and Responsibilities Hello,Hope you are doing Well!! JOB DESCRIPTION:: Do Coverage Closure Own and debug failures in simulation to root-cause problems Co-work with Design...
ambadebuggingfeatureslanguagesoopsfront endtest casesproblem solvingmodel developmentformal verificationelectronics engineeringsocuvmaxiahbperlstatements of work sowRoles and Responsibilities Hello,Hope you are doing Well!! JOB DESCRIPTION:: Do Coverage Closure Own and debug failures in simulation to root-cause problems Co-work with Design...
ambadebuggingfeatureslanguagesoopsfront endtest casesproblem solvingmodel developmentformal verificationelectronics engineeringsocuvmaxiahbperlstatements of work sowSr. Engineer -II, Systems Validation:
Technical Lead/Senior RTL Design Engineer who will be responsible for design and development of Automotive SoC products and complex digital IP s , Design of SoC covering microarchitecture definitio...
front endrtl designdigital designsilicon validationcommunication protocolsarmdftgdssocrtlbuscdcupflintambagraspdesigntimingfrontend developmentIntroduction. The position is an exciting opportunity to be part of a flexible and dynamic team in the growing markets of mixed signal chips with signal processing IPs, ARM processor...
adobe photoshoparm processormixed signaladobe dreamweaverhardware designhtml 5memory testsignal processingadobe illustratordigital designpower analysisscan insertionclock tree synthesiscss3physical designNXP Semiconductors N.V. (NASDAQ: NXPI) enables secure connections and infrastructure for a smarter world, advancing solutions that make lives easier, better, and safer. As the world leader in s...
verificationuvmdesignfailure analysisroot causesystem verilogcache coherencyformal verificationproject administrationconnectivity solutionsNXP Semiconductors N.V. (NASDAQ: NXPI) enables secure connections and infrastructure for a smarter world, advancing solutions that make lives easier, better, and safer. As the world leader in s...
linuxandroidautomationframeworkfront end designfront endlow lightstereo visionnoise reductionquality adherencesilicon validationdspdftiotcdcrdcnxpconnectivity solutionsNXP Semiconductors N.V. (NASDAQ: NXPI) enables secure connections and infrastructure for a smarter world, advancing solutions that make lives easier, better, and safer. As the world leader in s...
javaframeworksoc verificationsystem verilogcache coherencyjavascriptproject administrationiso 26262linuxformal verificationroot causeconnectivity solutionsNXP Semiconductors N.V. (NASDAQ: NXPI) enables secure connections and infrastructure for a smarter world, advancing solutions that make lives easier, better, and safer. As the world leader in s...
verificationuvmdesignfailure analysiscache coherencydsparmsociotusbaceaxilinnxpcpfperlpciemipiconnectivity solutionsNXP Semiconductors N.V. (NASDAQ: NXPI) enables secure connections and infrastructure for a smarter world, advancing solutions that make lives easier, better, and safer. As the world leader in s...
verificationuvmdesignfailure analysisroot causesystem verilogcache coherencyformal verificationproject administrationarmsocrtliotusbmapaxilinnxpconnectivity solutionsJob ID: JR0152223 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire System Validtion EngineerJob Description C...
power deliveryproblem solvingbehavioral trainingcommunication skillshardware engineeringtechnology platformsvalidation engineering
Must have experience in developing Verification environment from scratch
Must be good in Verilog coding
Must have Simulators experience using vcs/ncsim/modelsim
Knowled...
verificationuvmdesignfailure analysisverilog codingvcsovmvmmverilogscratchmodelsimOpen Verification MethodologyAssertion Based VerificationAPBAssertionsVMMAXIAMBA AHB
Should be expert in Verilog Coding
Must have done Synthesis
Must have worked on Scan insertion
Should be familiar with Spyglass CDC/RDC
Should be able to mic...
scan insertionverilog codingrtlscanverilogspyglasssynthesisspecificationsFastscanDFT CompilerEquivalence CheckingTetramaxBoundary ScanGate Level SimulationAutomatic Test Pattern GenerationConformal LECBISTRTL CodingNCSimAMBA AHB© 2019 Hireejobs All Rights Reserved