Hyderabad Jobs |
Banglore Jobs |
Chennai Jobs |
Delhi Jobs |
Ahmedabad Jobs |
Mumbai Jobs |
Pune Jobs |
Vijayawada Jobs |
Gurgaon Jobs |
Noida Jobs |
Hyderabad Jobs |
Banglore Jobs |
Chennai Jobs |
Delhi Jobs |
Ahmedabad Jobs |
Mumbai Jobs |
Pune Jobs |
Vijayawada Jobs |
Gurgaon Jobs |
Noida Jobs |
Oil & Gas Jobs |
Banking Jobs |
Construction Jobs |
Top Management Jobs |
IT - Software Jobs |
Medical Healthcare Jobs |
Purchase / Logistics Jobs |
Sales |
Ajax Jobs |
Designing Jobs |
ASP .NET Jobs |
Java Jobs |
MySQL Jobs |
Sap hr Jobs |
Software Testing Jobs |
Html Jobs |
Job ID: JR0162546 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire SOC Design EngineerJob Description In...
modelingproduct innovationfront endautocadeda toolsdrawingphysical designdraftingdata centerstatic timing analysiscadsynopsys primetimetiming analysis
What you do at AMD changes everything At AMD, we push the boundaries of what is possible. We believe in changing the world for the better by driving innovation in high-performance co...
draftingdrawingautocadmodelingphysical designcadchanging the worldwritten communicationtechnical complianceproblem solvingcommunication skillsRole Proficiency: Independently execute mid sized customer projects in any field of VLSI Frontend Backend or Analog design with minimal supervision Outcomes:
At Cadence, we hire and develop leaders and innovators who want to make an impact on the world of technology. Physical Design Application with 8 to 14 yrs. Strong and In-depth...
scadasalesprogrammingphysical designedistaprimedesigntimingprimetimefundamentalsClock Tree SynthesisPhysical VerificationcadencePlaceRouteIn Depth experience in Physical Design Implementation & Signoff at block level at 16/7nm technology nodes. Good exposure in Floorplanning, CTS, STA, Physical Verification, Basic understanding of timin...
javacustomer relationslinuxautomationphysical designphysical verificationstabasicdesigntimingfloorplanningimplementationClock Tree SynthesisPhysical VerificationTiming ClosureDesign Rule CheckingPrimetimeLayout Versus SchematicPlaceRouIn Depth experience in Physical Design Implementation & Signoff at block level at 16/7nm technology nodes. Good exposure in Floorplanning, CTS, STA, Physical Verification, Basic understanding of timin...
javacustomer relationslinuxautomationphysical designphysical verificationstabasicdesigntimingfloorplanningimplementationClock Tree SynthesisPhysical VerificationTiming ClosureDesign Rule CheckingPrimetimeLayout Versus SchematicPlaceRouIn Depth experience in Physical Design Implementation & Signoff at block level at 16/7nm technology nodes. Good exposure in Floorplanning, CTS, STA, Physical Verification, Basic understanding of timin...
javacustomer relationslinuxautomationphysical designphysical verificationstabasicdesigntimingfloorplanningimplementationClock Tree SynthesisPhysical VerificationTiming ClosureDesign Rule CheckingPrimetimeLayout Versus SchematicPlaceRouDescription At Xilinx, we are leading the industry transformation to build an adaptable, intelligent world. ARE YOU bold, collaborative, and creative We develop leaders and innovators who wan...
floor planningdrcroutingverificationcontinuous improvement facilitationbig dataasic designphysical designformal verificationcommunity engagementphysical verificationdftstacarelessleapasicAt Cadence, we hire and develop leaders and innovators who want to make an impact on the world of technology. The candidate will responsible for developing Physical Design Impl...
environmentautomationprogrammingstorageanalyticsphysical designdata structuresdesigntestingwritingreviewssoftwarestructuresimplementationClock Tree SynthesisPhysical VerificationtclcadenceNVIDIA is seeking passionate, highly motivated, and creative senior design engineers to be part of a team working on industry-leading GPUs and SOCs. This position offers the opportunity to have real i...
apacheedafusionintegrated development environmentsfloor plansrc extractionphysical designproblem solvingworking experiencecommunication skillsstapnrperldesignmobilecadencesynopsysplaceroutetclWhat you do at AMD changes everything At AMD, we push the boundaries of what is possible. We believe in changing the world for the better by driving innovation in high-performance co...
drawingmodelingautocadcommunication skillsdraftingtechnical compliancephysical designproblem solvingcadphysica design engineerCompany Profile: Western Digital Corporation is the world s largest data storage company with a leading portfolio of HGST, SanDisk, G-Technology and WD brands covering flash and disk...
ppapproduct developmentapqpinspectiondocumentationequal employment opportunityverbal communicationphysical verificationdrclvsiccpnrstepcloudflashvisitcolordesigntimingThe data infrastructure that our customers build has never been more critical to our global economy. It s what s keeping the world connected, businesses running, and information flowing. If y...
floor planningdrcroutingverificationstatic timing analysiseda toolstiming closurephysical designtiming analysiscommunication skillsAt Cadence, we hire and develop leaders and innovators who want to make an impact on the world of technology. Strong and In-depth hands on Physical Design Domain/STA/Synthesis....
physical designedistaprimedesigntimingprimetimefundamentalsClock Tree SynthesisPhysical VerificationTiming ClosurecadencePlaceRouteNXP Semiconductors N.V. (NASDAQ: NXPI) enables secure connections and infrastructure for a smarter world, advancing solutions that make lives easier, better, and safer. As the world leader in s...
design flowdigital designphysical verificationhisiotcdcqrclsfupfpvsperllintpythondesignmobilelowpower designconnectivity solutionstclDescription At Xilinx, we are leading the industry transformation to build an adaptable, intelligent world. ARE YOU bold, collaborative, and creative We develop leaders and innovators who wan...
drawingautocaddraftingmodelingcadbig dataeda toolsfpga designmixed signaloral communicationproduct engineeringcommunity engagementedasociccpnrvlsifpgacareCompany Profile: Western Digital Corporation is the world s largest data storage company with a leading portfolio of HGST, SanDisk, G-Technology and WD brands covering flash and disk...
ppapproduct developmentapqpinspectiondocumentationequal employment opportunityverbal communicationphysical verificationdrclvsiccpnrstepcloudflashvisitcolordesigntimingNVIDIA is seeking passionate, highly motivated, and creative senior design engineers to be part of a team working on industry-leading GPUs and SOCs. This position offers the opportunity to have real i...
apacheedafusionintegrated development environmentsfloor plansrc extractionphysical designproblem solvingworking experiencecommunication skillsstapnrperldesignmobilecadencesynopsysplaceroutetclAt Cadence, we hire and develop leaders and innovators who want to make an impact on the world of technology.
Position:Engineer (PD) : Strong fundamentals on Physical design including Floorplan, power grid analysis, placement, cts, routing, DRC-LVS closure, tim...
timing closurephysical designsignal integrityiccperlsoundertmsdesigntimingroutingclosureantennaanalysisplacementfloorplanfundamentalsPrimetimeClock Tree SynthesistclcadencePosition:Senior Engineer (PD) : Strong fundamentals on Physical design including Floorplan, power grid analysis, placement, cts, routing, DRC-LVS closu...
safetycommissioningsiteinspectiontroubleshootingtiming closurephysical designsignal integrityiccperlsoundertmsdesigntimingroutingclosuretclPosition:Engineer (PD) : Strong fundamentals on Physical design including Floorplan, power grid analysis, placement, cts, routing, DRC-LVS closure, tim...
timing closurephysical designsignal integrityiccperlsoundertmsdesigntimingroutingclosureantennaanalysisplacementfloorplanfundamentalsPrimetimeClock Tree Synthesistclcadence
Job ID: JR0152012 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire PDK ASIC QA EngineerJob Description Quality...
test casesregression testinginspectionqualityautomationcontinuous improvement facilitationasic designdesign flowsupply chainprocess designdigital designphysical designsemiconductor processproject administrationPDK ASIC QA Engineer Exp: 4- 10 yrs Location: Bangalore Job Description Quality checking of the APR tech files and ASIC Design flows for Synopsys (ICCII) a...
test casescmos analog designautomationpdkregression testingqualityinspectioninnovusrtl2gdsasic design flowcadencesynopsysicciiJob Responsibilities: The employee is responsible for complete physical design of multiple large & complex blocks & sub-system implementation 28nm/16nm and below technology nodes. The employee...
safetycommissioningsiteinspectiontroubleshootingdesign flowtiming closurephysical designphysical verificationiccpvsperlertmspythondesignplaceroutetclasicJob ID: JR0151910 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire SOC Design Engineer LeadJob Description In ...
drawingautocaddraftingmodelingcadphysical designorganization skillsverbal communicationphysical verificationwritten communicationsocstatclipsAt Cadence, we hire and develop leaders and innovators who want to make an impact on the world of technology. Strong and In-depth hands on Physical Design Domain/STA/Synthesis....
programmingphysical designedistaprimedesigntimingprimetimefundamentalsClock Tree SynthesisPhysical VerificationTiming ClosureDesign Rule CheckingPrimetimecadencePlaceRoute
This position for a CAD Engineer will be critical to shaping the next generation of FPGA products from Xilinx, the leader in FPGAs. It requires interfacing with large design teams across the globe and...
big datapower flowproblem solvingcomputer sciencecommunity engagementphysical verificationelectronics engineeringcadedastapnrupfperlfpgatclAt Cadence, we hire and develop leaders and innovators who want to make an impact on the world of technology. Job Description You will work with an elite team of physi...
rtl designdrawingautocaddraftingmodelingclock tree synthesisstatic timing analysisasic designdesign flowfloor planningtiming closurephysical designtiming analysiscoding experience* As part of the Corporate R&D Design systems technology department, we are responsible for the evaluation, acquisition, development, integration and support of design tools and methodologie...
static timing analysiseda toolsdesign flowdigital designtiming analysiscomputer sciencecommunication skillsequivalence checkingelectrical engineeringedadftperlatpglinuxpythondesigntcl* As part of the Corporate R&D Design systems technology department, we are responsible for the evaluation, acquisition, development, integration and support of design tools and methodologie...
static timing analysiseda toolsdesign flowdigital designtiming analysiscomputer sciencecommunication skillsequivalence checkingelectrical engineeringedadftperlatpglinuxpythondesigntcl* As part of the Corporate R&D Design systems technology department, we are responsible for the evaluation, acquisition, development, integration and support of design tools and methodologie...
static timing analysiseda toolsdesign flowdigital designtiming analysiscomputer sciencecommunication skillsequivalence checkingelectrical engineeringedadftperlatpglinuxpythondesigntcl* As part of the Corporate R&D Design systems technology department, we are responsible for the evaluation, acquisition, development, integration and support of design tools and methodologie...
static timing analysiseda toolsdesign flowdigital designtiming analysiscomputer sciencecommunication skillsequivalence checkingelectrical engineeringedadftperlatpglinuxpythondesigntclThe employee is responsible for complete physical design of multiple large & complex blocks & sub-system implementation 28nm/16nm and below technology nodes. The employee is expected to take ownership...
javalinuxjavascriptframeworkdesign flowtiming closurephysical designphysical verificationPhysical DesignplaceroutePython ScriptingJob ID: JR0148340 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire PDK Extraction QA EngineerJob Description :...
test casesregression testinginspectionqualityautomationcontinuous improvement facilitationeda toolssupply chainlayout designphysical designconnected devicesprocess developmentbehavioral trainingJob ID: JR0148632 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: SOC Design EngineerJob Description Responsible for str...
networking solutionsfront enddrawingdesign flowdraftingphysical designartificial intelligencecadautocadtiming analysisstatic timing analysismodelingdata centerchip designformal verificationfrontend designPhysical Design Engineer (3 5 years) Skills: STA , ICC , ICC2 , PnR , Perl Job Locations: Hyderabad Total vacancies: 3 Role and Respons. Physical Design Engineer (3 5 years) | Skills: Role and Respon...
planningdrcroutingverificationasic synthesisphysical designcommunication skillsphysical verificationtclstaiccpnrperlasicdesigncontrolfeaturesscriptingsynthesisfloWe are now looking for a Senior Physical Design Engineer: NVIDIA is seeking passionate, highly motivated, and creative senior design engineers to be part of a team working on industry-leading ...
apacheedafusionintegrated development environmentsfloor plansrc extractionphysical designproblem solvingworking experiencecommunication skillsstapnrperldesignmobilecadencesynopsysplaceroutetcl* Job Title: Custom Mixed Signal & Digital Design flow Engineer (f/m) Your tasks: In this position you will be integrated in our Technology Enablement team, especially in project...
signal flowdesign flowmixed signalproduct designdigital designdata managementmanagement systemelectrical engineeringcdsdacamsadcsetlvdsertmsdesignthermalcircuitipsAt Cadence, we hire and develop leaders and innovators who want to make an impact on the world of technology. Strong and In-depth hands on Physical Design Domain/STA/Synthesis....
physical designedistaprimedesigntimingprimetimefundamentalsClock Tree SynthesisPhysical VerificationTiming ClosureDesign Rule CheckingPrimetimeLayout Versus SchematiccadencePlaceRoutePD JD V4: Min 6+ years of exp. In Physical Design, Should have worked on Netlist to GDSII Expert In ICC2 and Innovus, Should have taped out projects in 10nm or below tech nodes. Should have Worked in ...
physical designpnrdesignscriptingClock Tree SynthesisPhysical VerificationTiming ClosureDesign Rule CheckingPrimetimeLayout Versus SchematicFloorplanningParasitic ExtractionPlaceRouteMin 8+ years of exp. In Physical Design, Should have worked on Netlist to GDSII Expert In ICC2 and Innovus, Should have taped out projects in 10nm or below tech nodes. Have handled Small to medium siz...
salesmisaccountstatbankingphysical designpnrdesignclusterscriptingleadershipClock Tree SynthesisPhysical VerificationTiming ClosureDesign Rule CheckingPlaceRouteDescription: Must be hands - on technical expert. Strong written and oral skills. Experienced in deep sub - micron designs . Experience in Low power and high performance design. Experience in design...
customer relationsdeliveryhtml5managementmiddlewarephysical designiccmagmasounddesigncadencescheduleautomationautomotivearchitectureClock Tree SynthesisPhysical VerificationperfmancePlaceRouteTimi
Full Chip Physical Implementation Lead. Strategize and drive closure on large SoCs in advanced nodes (28nm or below). Prototyping, Partitioning, Pin Assignment, Timing Budgeting, Full Chip clo...
high speed interfacesphysical designphysocsetrdlmipidesignserdestiminglibraryclosurevoltageanalysisclockingbudgetinginterfacesprototypingarchitectureimplementationPhysical Design Engineer (3 5 years) Skills: STA , ICC , ICC2 , PnR , Perl Job Locations: Hyderabad Total vacancies: 3 Role and Respons. Physical Design Engineer (3 5 years) | Skills: Role and Respon...
planningdrcroutingverificationasic synthesisphysical designcommunication skillsphysical verificationtclstaiccpnrperlasicdesigncontrolfeaturesscriptingsynthesisfloJob ID: JR0139599 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: College Grad Component Design EngineerJob Description Develo...
automationautomation toolsbioscleaningcorecontinuous improvement facilitationasic designdesign flowsupply chainprocess designdigital designcomputer scienceconnected devicesprocess development
© 2019 Hireejobs All Rights Reserved