Hyderabad Jobs |
Banglore Jobs |
Chennai Jobs |
Delhi Jobs |
Ahmedabad Jobs |
Mumbai Jobs |
Pune Jobs |
Vijayawada Jobs |
Gurgaon Jobs |
Noida Jobs |
Hyderabad Jobs |
Banglore Jobs |
Chennai Jobs |
Delhi Jobs |
Ahmedabad Jobs |
Mumbai Jobs |
Pune Jobs |
Vijayawada Jobs |
Gurgaon Jobs |
Noida Jobs |
Oil & Gas Jobs |
Banking Jobs |
Construction Jobs |
Top Management Jobs |
IT - Software Jobs |
Medical Healthcare Jobs |
Purchase / Logistics Jobs |
Sales |
Ajax Jobs |
Designing Jobs |
ASP .NET Jobs |
Java Jobs |
MySQL Jobs |
Sap hr Jobs |
Software Testing Jobs |
Html Jobs |
Job ID: JR******* Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire SoC Design EngineerJob Description Oversees...
drawingautocaddraftingmodelingcadvlsi designdesign flowlogic designtiming closurebehavioral trainingarchitectural designsoclecpnrppavlsicelledgeipsintelJob ID: JR0179565 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire RLS/Structural Design EngineerJob Description staad probuildingssiterccfoundationrtl designlayout designdigital designcircuit theoryphysical designhardware designlogic synthesisgraphics hardwarebehavioral trainingcommunication skills
Job ID: JR0173633 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire SOC Design EngineerJob Description In ...
artsynopsys primetimecadartificial intelligenceautocadmodelingeda toolsdrawingstatic timing analysisdata centerdraftingphysical designtiming analysisnetworking solutionsfront endJob Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire Tools Flow Methodology EngineerJob Description Oversees defin...
continuous improvement facilitationvlsi designdesign flowlogic designfloor planningdesign engineeringbehavioral trainingarchitectural designplacerouteJob ID: JR0161243 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: STA/Signoff Methodology-Implementation LeadJob Description ...
deliverymanagementslaaspcommissioningeda toolsasic designdesign flowproblem solvingtiming analysiscomputer sciencepower estimationproject executionleadership skillstechnical directionJob ID: JR0146034 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Digital Design EngineerJob Description In a fast-pa...
static timing analysisasic designdrceda toolsdesign flowproblem solvingtiming analysiscomputer sciencepower estimationproject executionleadership skillstechnical directioncadenceasicJob ID: JR0146190 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Digital Design EngineerJob Description In this posi...
static timing analysisasic designdrcclock tree synthesiseda toolsdesign flowscan insertionphysical designproblem solvingdesign compilercomputer scienceteam developmentcadenceasicJob ID: JR0162546 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire SOC Design EngineerJob Description In...
modelingproduct innovationfront endautocadeda toolsdrawingphysical designdraftingdata centerstatic timing analysiscadsynopsys primetimetiming analysisIn this role as Graphics Hardware Design Engineer in Intels ITG CHG organization, you will be responsible for the below items focusing mainly on the physical design aspects of Graphics and Media IPs.-...
rtl designlayout designdigital designcircuit theoryphysical designhardware designlogic synthesisgraphics hardwarebehavioral trainingcommunication skillsNVIDIA is seeking passionate, highly motivated, and creative senior design engineers to be part of a team working on industry-leading GPUs and SOCs. This position offers the opportunity to have real i...
apacheedafusionintegrated development environmentsfloor plansrc extractionphysical designproblem solvingworking experiencecommunication skillsstapnrperldesignmobilecadencesynopsysplaceroutetclNVIDIA is seeking passionate, highly motivated, and creative senior design engineers to be part of a team working on industry-leading GPUs and SOCs. This position offers the opportunity to have real i...
apacheedafusionintegrated development environmentsfloor plansrc extractionphysical designproblem solvingworking experiencecommunication skillsstapnrperldesignmobilecadencesynopsysplaceroutetclJob ID: JR0153501 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: India, Hyderabad Job Type: Experienced Hire Synthesis & PD methodology-implementation Lead deliverymanagementslaaspcommissioningclock tree synthesiseda toolsasic designdesign flowscan insertionphysical designproblem solvingdesign compilercomputer scienceteam development
Role and responsibility: Ability to execute block level and SOC level P&R and Timing closure activities. Will be responsible for owning up IR/ EM/ ESD simulations for the various CPU . Perform RTL2GDS...
routingverificationmedical codingfloor planningphysical verificationtiming closurecommercial modelscontrolled impedancephysical designsignal integrityclock tree synthesisparasitic extractionJob ID: JR0152012 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire PDK ASIC QA EngineerJob Description Quality...
test casesregression testinginspectionqualityautomationcontinuous improvement facilitationasic designdesign flowsupply chainprocess designdigital designphysical designsemiconductor processproject administrationPDK ASIC QA Engineer Exp: 4- 10 yrs Location: Bangalore Job Description Quality checking of the APR tech files and ASIC Design flows for Synopsys (ICCII) a...
test casescmos analog designautomationpdkregression testingqualityinspectioninnovusrtl2gdsasic design flowcadencesynopsysiccii* As part of the Corporate R&D Design systems technology department, we are responsible for the evaluation, acquisition, development, integration and support of design tools and methodologie...
static timing analysiseda toolsdesign flowdigital designtiming analysiscomputer sciencecommunication skillsequivalence checkingelectrical engineeringedadftperlatpglinuxpythondesigntcl* As part of the Corporate R&D Design systems technology department, we are responsible for the evaluation, acquisition, development, integration and support of design tools and methodologie...
static timing analysiseda toolsdesign flowdigital designtiming analysiscomputer sciencecommunication skillsequivalence checkingelectrical engineeringedadftperlatpglinuxpythondesigntcl* As part of the Corporate R&D Design systems technology department, we are responsible for the evaluation, acquisition, development, integration and support of design tools and methodologie...
static timing analysiseda toolsdesign flowdigital designtiming analysiscomputer sciencecommunication skillsequivalence checkingelectrical engineeringedadftperlatpglinuxpythondesigntcl* As part of the Corporate R&D Design systems technology department, we are responsible for the evaluation, acquisition, development, integration and support of design tools and methodologie...
static timing analysiseda toolsdesign flowdigital designtiming analysiscomputer sciencecommunication skillsequivalence checkingelectrical engineeringedadftperlatpglinuxpythondesigntclIn a fast-paced leading-edge design environment with endless possibilities of innovation and learning , you will be responsible for enabling design flows and methodology primarily in RTL2GDSII impleme...
autocadcadauto caddraftingdrawingclock tree synthesiscontinuous improvement facilitationeda toolsasic designdesign flowfloor planningproblem solvingcomputer scienceWe are now looking for a Senior Physical Design Engineer: NVIDIA is seeking passionate, highly motivated, and creative senior design engineers to be part of a team working on industry-leading ...
apacheedafusionintegrated development environmentsfloor plansrc extractionphysical designproblem solvingworking experiencecommunication skillsstapnrperldesignmobilecadencesynopsysplaceroutetcl10+ years of Experience in Physical Design implementation Played significant role in multiple tape-outs across designs Skill Set (all or most of the below list): o complex block implementation o p...
floor planningtiming closurephysical designpower estimationPhysical DesignVlsi ArchitectJob ID: JR0139599 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: College Grad Component Design EngineerJob Description Develo...
automationautomation toolsbioscleaningcorecontinuous improvement facilitationasic designdesign flowsupply chainprocess designdigital designcomputer scienceconnected devicesprocess developmentWho youll work with: Our creative and talented Physical Design team in Bangalore, India. As a member of this team you will be involved in crafting next generation state-of-the-art networking chips in ...
floor planningdrc routingverification rtl design physical designpower integrity powerKey area of the position: Physical Design with STA This position reports into the Design Methodology group and will be part of a team that is responsible for the creation of Design Methodology soluti...
eda toolstest cases digital designphysical design data structurescontrol software parasitic extractionphysical verification
Minimum Qualifications:
Role and responsibility: Ability to execute block level and SOC level P&R and Timing closure activities. Will be responsible for owning up IR/ EM/ ESD simulations for the various CPU . Perform RTL2GDS...
routing verification edicalcoding floorplanning physicalverification timingclosure commercialmodels controlledimpedance physicaldesign signalintegrity clocktreesynthesis parasiticextraction
Minimum Qualifications:
Job Summary
This position reports into the Design Methodology group and will be part of a team that is responsible for the creation of Design Methodology solution...
strongcommunicationskills edatools testcases googledocs digitaldesign physicaldesign datastructures versioncontrol controlsoftware communicationskills parasiticextraction physicalverification sql api eda rtl ppa upf pdk clKey area of the position: Physical Design with STA Job Summary This position reports into the Design Methodology group and will be part of a team that is responsible for the creation of Design Met...
data structuressql digital designcontrol software eda toolsversion control google docsphysical designAt Rambus, we are turning incredible possibilities into everyday reality by helping to deliver the innovations that greatly impact the world we live in. We create leading-edge semiconductor and IP pro...
cadence controlling diagnostics fft gsm physicaldesign signalintegrity tatementsofw ksow internetofthings clocktreesynthesis bigdata edatools flo planning timingclosure guidevelopment technologyLead complete ownership of IP physical implementation, integration and TC implementation till TO. Take complete ownership for implementation of Testchip Top level and Block level designs Responsib...
controlling diagnostics ignalintegrity bigdataAt Rambus, we are turning incredible possibilities into everyday reality by helping to deliver the innovations that greatly impact the world we live in. We create leading-edge semiconductor and IP pro...
controlling diagnostics igdataDuties: Lead complete ownership of IP physical implementation , integration and TC implementation till TO. Take complete ownership for implementation of Testchip Top level and Block level designs ...
cadence controlling diagnostics fft gsm physicaldesign signalintegrity projectmanagement tatementsofw ksow clocktreesynthesis edatools flo planning timingclosure guidevelopment clockdistributionResponsibilities As a DFT engineer at Rambus, you will be responsible for design, implementation and verification of all aspects of DFT on complex IPs and chips at advanced process technology nodes v...
atpg core dft silicon scan ogicdesign logicsynthesis boundaryscan internetofthings statictiminganalysis scaninsertion continuousimprovementfacilitation timinganalysis timingclosure edatools bigdataWe are now looking for a Physical Design Methodology Engineer! NVIDIA is seeking passionate, highly motivated, and creative design engineers to be part of a team working on industry-leading GPUs and ...
cadence synopsys apache eda fusion edatools physicaldesign problemsolving creativedesign communicationskills tcl design timing offers writing graphics scripting synthesis debugging laceroutePhysical Design Engineer with Experience in RTL2GDS of complex digital designs with focus on below skillsetSkills: Floorplan, Physical Design closure, Static timing Analysis, Physical verification, TC...
tcl staeco perlpython designtiming routingclosure3-5 years Experience in Physical Design implementation - Responsible to independently handle the execution and delivery of a medium to complex full chip/blocks RTL2GDS implementation- Played a signifi...
statictiminganalysisphysicaldesigntiminganalysisphysicalverificationdesignixedsignalanaloglayouttimingclosureteammentingpowerestimationtiming5-10 years Experience in Physical Design implementation - Responsible to independently handle the execution and delivery of a medium to complex full chip/blocks RTL2GDS implementation- Played a signif...
circuitdesignphysicaldesigntiminganalysisphysicalverificationnalogcircuitdesignmixedsignalanaloglayouttimingclosureteammentingpowerestimation3-10 years Experience in Physical Design implementation - Responsible to independently handle the execution and delivery of a medium to complex full chip/blocks RTL2GDS implementation- Played a signif...
circuitdesignphysicaldesigntiminganalysisphysicalverificationhardwaredesigningnalogcircuitdesignmixedsignaltimingclosureteammentingpowerestimation© 2019 Hireejobs All Rights Reserved