Hyderabad Jobs |
Banglore Jobs |
Chennai Jobs |
Delhi Jobs |
Ahmedabad Jobs |
Mumbai Jobs |
Pune Jobs |
Vijayawada Jobs |
Gurgaon Jobs |
Noida Jobs |
Hyderabad Jobs |
Banglore Jobs |
Chennai Jobs |
Delhi Jobs |
Ahmedabad Jobs |
Mumbai Jobs |
Pune Jobs |
Vijayawada Jobs |
Gurgaon Jobs |
Noida Jobs |
Oil & Gas Jobs |
Banking Jobs |
Construction Jobs |
Top Management Jobs |
IT - Software Jobs |
Medical Healthcare Jobs |
Purchase / Logistics Jobs |
Sales |
Ajax Jobs |
Designing Jobs |
ASP .NET Jobs |
Java Jobs |
MySQL Jobs |
Sap hr Jobs |
Software Testing Jobs |
Html Jobs |
Roles and Responsibilities Hiring :: Physical design Location :: Bangalore Exp :: 5 - 12yrs Job Requirements: Master s/Bachelor s degree in Electrical/Elec...
floor planningcircuit designphysical designcommunication skillswritten communicationlvspnrppaecosdesigntimingcircuitplanningeducationscriptingengineeringreliabilitycommunicationimplementationspecificationsRoles and Responsibilities Hiring :: Physical design Location :: Bangalore Exp :: 5 - 12yrs Job Requirements: Master s/Bachelor s degree in Electrical/Elec...
floor planningcircuit designphysical designcommunication skillswritten communicationlvspnrppaecosdesigntimingcircuitplanningeducationscriptingengineeringreliabilitycommunicationimplementationspecificationsKey Responsibilities
Job ID: JR0146034 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Digital Design EngineerJob Description In a fast-pa...
static timing analysisasic designdrceda toolsdesign flowproblem solvingtiming analysiscomputer sciencepower estimationproject executionleadership skillstechnical directioncadenceasicRFQ Engineer IN, Chennai | Professional | Full-Time | ID: 15221 Vestas is the world leader in wind technology and a driving-force in the development of the...
master datasocial mediawind turbinesmicrosoft excelequipment supplyglobal procurementequal opportunitiesfinancial accountingengineering drawingsoperational activitieserpecmexceldesignrecordsbusinessJob ID: JR0163223 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire Full Chip Timing EngineerJob Description I...
timing closuresynopsys toolsclient developmentbehavioral trainingelectronics engineeringstaiccvlsiperlecoscolordesigntimingclosuresiliconbusinessclockingtclipsintelJob TitleQuality System Engineer Location(s)Mumbai Summary Seeking an experienced engineering professional who works well in a cross-functional...
root cause analysisinspectionisocontinuous improvement facilitationiso 13485project teamscncquality management systemroot causeppapcomplianceexternal auditiso 14971data reportingbatch recordsPhysical Design Engineers Primary Responsibilities and Requirements. BE / B.Tech / ME / M.Tech 3 years to 15 years. He / She should be able to do top - level floor planning , PG Planning , partitionin...
planningdrcroutingverificationclock tree synthesisstatic timing analysistiming closurephysical designtiming analysissignal integrityphysical verificationflosystem integratal communicationoptiShould lead a team of STA engineers through MMMC Timing signoff for multiple tapeouts of hierarchical designs in 28nm & lower tech nodes. Should have ownership of STA methodology development.Expected ...
javaenvironmentsql serversqlcustomer relationsrtl compilerformal verification
*CURRENT EMPLOYEES : Please click here to be redirected to the internal career site. Job Description The Team Leader - GBS Engineeringwill...
slacontinuous improvement facilitationqualitydata privacycustomer relationsroot causeroot cause analysisquality toolscoachingmachine learningms officeproblem solvingteam buildingweb contentsalesThe data infrastructure that our customers build has never been more critical to our global economy. It s what s keeping the world connected, businesses running, and information flowing. If y...
floor planningdrcroutingverificationstatic timing analysiseda toolstiming closurephysical designtiming analysiscommunication skillsEngineer IN, Chennai | Professional | Full-Time | ID: 13170 Vestas is the world leader in wind technology and a driving-force in the development of the win...
interactive creative directionsocial mediacost controlcontrol plantest systemsdata analysiswind turbineschange orderstooling designquality controlprocess qualitytest validationtechnical support
Job Summary:
As a member of the Physical Design team, the PD Engineer will be responsible for building next-generation state-of-the-art networking chips in advanced...
floor planningdrcroutingverificationclock tree synthesisstatic timing analysistiming closurephysical designtiming analysispower integritysignal integritysystem integratorssolution developmentparasitic extractionphysical verificationoptimShould lead a team of STA engineers through MMMC Timing signoff for multiple tapeouts of hierarchical designs in 28nm & lower tech nodes. Should have ownership of STA methodology development.Expected ...
javalinuxenvironmentcustomer relationsformal verificationdftsocrtlstalececoclpecosSenior Engineer IN, Chennai | Professional | Full-Time | ID: 13411 Vestas is the world leader in wind technology and a driving-force in the development of ...
social mediamicrosoft excelinteractive creative directioninfor xaenergy industrytroubleshootingwind turbinessiteequipment supplysafetymaster datainspectioncommissioningMaersk is going through times of unprecedented change. From the farm to your refrigerator, or the factory to your wardrobe, we are developing digital solutions that meet customer needs from one end of...
container shippingteam leadingembedded systemscost controlversion controldata sciencesoftware engineeringtechnical specialistssoftware engineerscomputer scienceembedded softwareTitle Static Timing Analysis (STA) Bangalore 3-5yrs Exp Salary As per Industry standards Apply Now Categories Embedded Jobs Salary As per Industry Standards Total Yrs Of Experience Required 3-5yrs Job...
static timing analysistiming analysisstaecossalarymanualtimingwritingembeddedanalysisdebuggingTiming ClosurePrimetimeClock Tree SynthesisLogic SynthesisPlaceRouteEngineer IN, Chennai | Professional | Full-Time | ID: 12810 Vestas is the world leader in wind technology, in the development of the wind power industry. V...
interactive creative directionmaster datasocial mediawind turbinesmicrosoft excelenergy industryequipment supplyglobal procurementengineering drawingserpecmPosition: Hardware Developer Qualification: Electronics or Computer Engineering degree, diploma, or equivalent. Experience : 1yrs. The Hardware Developer actively participates in the definition,...
good communication attention to detailworking knowledgepleasing personalityAbout Position: Position: Hardware Developer Qualification: Electronics or Computer Engineering degree, diploma, or equivalent. Work Experience: Min 2- 5 years in Logistics/ Supply Chain & Mech...
firmware developmentdebugging troubleshootingcommuicationDesign, develop, and maintain existing and new product firmware designs. Help to identify, specify, and plan tasks he is assigned by the project lead. Provide technical expertise for an accurate deter...
pleasing personalitygood communication attention to detailworking knowledgeWill be responsible for : Manage and assist all activities involving component obsolescence and life cycle, including identifying of alternative parts and recommend solutions. Populate parametric d...
change orderscost analysiscomponent selectionms accessdesign reviewvalue engineeringrisk managementoperations integrationcommunication skillsJob ID: JR0150951 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire SOC STA/Timing Sign-off EngineerJob Description static timing analysiseda toolstiming closurephysical designtiming analysiscommercial modelsbehavioral trainingwritten communicationhardware architectureoptimization strategiesedasocperl
Job ID: JR0150087 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: SOC STA/Timing Sign-off EngineerJob Description Job Desc...
static timing analysiseda toolsmusic makingtiming closurephysical designtiming analysiscommercial modelswritten communicationhardware architectureoptimization strategieJob ID: JR0148786 Job Category: Contract Employee Primary Location: Bangalore, KA IN Other Locations: Job Type: Intel Contract Employee SOC Design EngineerJob Description...
process developmentsupply chainconnected devicesdesign verificationmodelingcadvlsi designdraftinganalytical abilitydrawingautomation toolscircuit designphysical designautocaddesign compiler
As the Synthesis/STA Engineer of the MPSoC design team in Hyderabad, you ll be responsible for owning the synthesis and timing closure for multiple complex blocks.
Essential Duties, Compet...
timing closurephysical designwritten communicationdftstaecocdcperlecoslintspicedesigntimingchecksclosuresiliconscriptsrunningreviewstclDescription At Xilinx, we are leading the industry transformation to build an adaptable, intelligent world. ARE YOU bold, collaborative, and creative We develop leaders and innovators who wan...
floor planningdrcroutingverificationbig dataphysical designlvspnrppacareecosleapdesigntimingcircuitxilinxempowerJob ID: JR0147052 Job Category: Engineering Primary Location: Hyderabad, KA IN Other Locations: India, Bangalore Job Type: Experienced Hire SoC RTL LeadJob Description design flownew businessscan insertionteam managementskill developmentresource planningmanagement skillsIP architecturesdesign integrationlow power design flow
EC Engineer is responsible for the development and management of the Engineering Change Order process for assigned groups and/or product families or lines from documentation of initial problem stateme...
change control boardms officelotus notesitem masterchange controlsoftware packagesengineering changeconflict resolutioncontinuous improvementmanufacturing processessapplmkpipdm
Job ID: JR0143144 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire SoC STA/Full chip Timing Technical LeadJob Descripti...
javasql serversqlcustomer relationsstatic timing analysiseda toolsphysical designtiming analysistechnical leadershipoptimization strategiesedasocperlips* Engineer would be responsible for doing physical design implementation, timing closure and Physical verification at block level. He/She would be communicating to client directly. * He/She should e...
floor planningdrcroutingverificationclock tree synthesistiming closurephysical designsystem integratorscommunication skillsphysical verificationoptimization strategiesecoperldesigntimingchecksclosureantenna* Engineer would be responsible for doing physical design implementation, timing closure and Physical verification at block level. He/She would be communicating to client directly. * He/She should e...
floor planningdrcroutingverificationclock tree synthesistiming closurephysical designsystem integratorscommunication skillsphysical verificationoptimization strategiesecoperldesigntimingchecksclosureantenna
As a member of the Physical Design team, the PD Engineer will be responsible for building next-generation state-of-the-art networking chips in advanced process node. The PD Engineer will drive the...
floor planningdrcroutingverificationclock tree synthesisstatic timing analysistiming closurephysical designtiming analysispower integritysignal integritysystem integratorssolution developmentparasitic extractionphysical verificationoptim
Roles & Responsibilities:
It Includes, Analyzes, designs, programs, debugs, and modifies software/ system enhancements and/or new products used in local, networked, or Int...
javaagileapilinuxload testingip networkingproject teamstest procedureshome networkingoperating systemsrouting protocolsmobile applicationssignaling protocolssystem requirementsnetworking protocolsmaterials managementrealtime operati
We are now looking for a motivated Senior Physical Design and Timing Engineer to join our dynamic and growing team. If you want to challenge yourself and be a part of something great, join us today! ...
logicvalidationverificationverilogcomputer graphicscommercial modelsequivalence checkingtechnical compliancecontrolled impedancehardware architectureoptimization strategiesJob Description
RTL Design Engineer JobCode: HWDIND050418_53 - T&VS RTL Design Engineer JobCode: HWDIND050418_53 Job Title: RTL Design Engineer Job Code: HWDIND050418_53 Job Descr...
verilogfpgaxilinx isehdlaltera quartusrtl designrtl codingsystem verilogphysical designdesign compilerpower managementserial protocolsfunctional designworking experienceformal verificationnocarmdftsocWill be responsible for : Manage and assist all activities involving component obsolescence and life cycle, including identifying of alternative parts and recommend solutions. Populate parametric d...
change orderscost analysiscomponent selectionms accessdesign reviewvalue engineeringrisk managementoperations integrationcommunication skills Job ID: JR0139916
Job Category: Engineering
Primary Location: Bangalore, KA IN
Other Locations: India, Hyderabad
Job Type: Experienced Hire
Pre-Silicon formal Validation Engineer
Should lead a team of STA engineers through MMMC Timing signoff for multiple tapeouts of hierarchical designs in 28nm & lower tech nodes. Should have ownership of STA methodology development.Expected ...
rtl compilerformal verificationdftsocrtlstalececoclpecostimingwritingclosureanalysisbudgetingsynthesisownershipengineersleadershipvalidation
Skill Set : AutoCAD Inventor
Salary Range : 2,30,000 PA
Bond : 2 years
Job Type : Permanent
<...
process developmentcadsetdesignsalaryautocaddrawingmechanicalengineeringEquipment SizingFlarenetPFDPro IIHTRI SoftwareReaction EngineeringAspen PlusAspen HYSYSLine Sizing
As the Synthesis/STA Engineer of the MPSoC design team in Hyderabad, you ll be responsible for owning the synthesis and timing closure for multiple complex blocks.
Essential Duties, Compet...
timing closurephysical designwritten communicationdftstaecocdcperlecoslintspicedesigntimingchecksclosuresiliconscriptsrunningreviewstcl
Design, develop, and maintain existing and new product firmware designs. Help to identify, specify, and plan tasks he is assigned by the project lead. Provide technical expertise for an accurate deter...
leasing personality good communication attention to detail working knowledgeAbout Position: Position: Hardware Developer Qualification: Electronics or Computer Engineering degree, diploma, or equivalent. Work Experience: Min 2- 5 years in Logistics/ Supply Chain & Mech...
irmware development debugging troubleshooting commuicationEmployees may specialize in planning activities involving production control, inventory control, process control, or shop floor control / Material Resources Planning (MRP) integrity Maintains Oracle w...
shop floor controlservice center operations equal employment opportunitycontinuous improvement facilitation shop floorwork orderProvide creative solutions to challenges that arise during new product development lifecycle,Lead improvement efforts on existing products and provide technical support to sales, marketing, and custom...
newproductdevelopment technicalsupport productdevelopment ecos sales marketing Plastics Adhesives InjectionMolding DesignforManufacturing Additives Extrusion Resin ProductInnovation Flavors Laptops RemoteDesktop Workstations NortonGhost© 2019 Hireejobs All Rights Reserved